Gen7Tests/angelscript.supp

7 lines
119 B
Plaintext
Raw Normal View History

{
SkipAngelScriptMemCheck
Memcheck:Cond
fun:_ZN10asCContext11ExecuteNextEv
fun:_ZN10asCContext7ExecuteEv
}